Buy latest IEEE projects of 2018 online with base paper abstract Schematic Diagram and the main thing is code. All the things you will be found here with less cost. Price ranges from Rs.50-2000 depending on the project. We Mainly focus on Embedded VLSI and Matlab Projects. CSE and IT Projects are also Focused.

Wednesday, December 6, 2017

VHDL Implementation of Buffer

Verilog Implementation of Buffer
Buffer
The buffer is a fundamental building obstruct in computerized gadgets. Multiplexers, decoders, state machines, and other advanced computerized gadgets may utilize buffer to store the values temporarily.

Code For Buffer

----------------------------------------------------------------------------------
-- Company: VHDL Language
-- Engineer: Manohar Mohanta
-- 
-- Create Date:    10:06:50 12/07/2017 
-- Design Name: Inverter
-- Module Name:    inv - Behavioral 
-- Project Name: Basics of VHDL For B.Tech Students
-- Target Devices: Any FPGA
-- Tool versions: Xilinx 14.5
-- Description: In this websie/App you will get to know more about basics of verilog or VHDL programs.
--
-- Dependencies: No Dependencies
--
-- Revision: 1.0
-- Revision 0.01 - File Created
-- Additional Comments: Insperation by Gosala Anitha My True Love
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

entity buf is
    Port ( a : in  STD_LOGIC;
           b : inout  STD_LOGIC);
end inv;

architecture Behavioral of buf is

begin
b<=a;

end Behavioral;

Simulation Results:-

simulation results of buffer
Output of Buffer

No comments:

Post a Comment

Blog Views