Buy latest IEEE projects of 2018 online with base paper abstract Schematic Diagram and the main thing is code. All the things you will be found here with less cost. Price ranges from Rs.50-2000 depending on the project. We Mainly focus on Embedded VLSI and Matlab Projects. CSE and IT Projects are also Focused.

Wednesday, December 6, 2017

Verilog Implementation of Inverter

Verilog inverter logic gate
inverter (logic gate)
The inverter is a fundamental building obstruct in computerized gadgets. Multiplexers, decoders, state machines, and other advanced computerized gadgets may utilize inverters.

Code For Inverter

`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company: VHDL Language
// Engineer: Manohar Mohanta
// 
// Create Date:    09:47:09 12/07/2017 
// Design Name: Inverter
// Module Name:    inv 
// Project Name:  Basics of Verilog For B.Tech Students
// Target Devices: Any FPGA
// Tool versions: Xilinx 14.5
// Description: In this websie/App you will get to know more about basics of verilog or VHDL programs.
//
// Dependencies: No Dependencies
//
// Revision: 1.0
// Revision 0.01 - File Created
// Additional Comments: Insperation by Gosala Anitha My True Love
//
//////////////////////////////////////////////////////////////////////////////////
module inv(
    input a,
    output b
    );

assign b=~a;

endmodule

Simulation Results:-

Simulation Results of Inverter
Output of Inverter

No comments:

Post a Comment

Blog Views