Buy latest IEEE projects of 2018 online with base paper abstract Schematic Diagram and the main thing is code. All the things you will be found here with less cost. Price ranges from Rs.50-2000 depending on the project. We Mainly focus on Embedded VLSI and Matlab Projects. CSE and IT Projects are also Focused.

Tuesday, March 31, 2015

VHDL Basic Program On Multiplexers(Mux) Using Case Statement

VHDL Basic Tutorial On Multiplexers(Mux) Using Case Statement
           
        A multiplexer (or mux) is a device that selects one of several analog or digital input signals and forwards the selected input into a single line. A multiplexer of 2ninputs has n select lines, which are used to select which input line to send to the output.

CODE For The Mux Program in VHDL Language Using Case

----------------------------------------------------------------------------------
-- Company: vhdltutorials.blogspot.com
-- Engineer: Manohar Mohanta
--
-- Create Date:    18:27:17 03/20/2015
-- Design Name:
-- Module Name:    mux - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity logicgate is
    Port ( a : in  STD_LOGIC;
           b : in  STD_LOGIC;
           c : in  STD_LOGIC;
 d: in STD_LOGIC;
 s : in STD_LOGIC_VECTOR(1 downto 0);
 m : out STD_LOGIC);
end logicgate;

architecture Behavioral of logicgate is
begin
process(a,b,c,d,s) is
begin
case s is
when "00"=> m<=a;
when "01" =>m<=b;
when "10" =>m<=c;
when others=> m<=d;
end case;
end process;
end Behavioral;


Simulated Results:-


No comments:

Post a Comment

Blog Views